焦點

製程趕不上對手怎麼辦?改名就好啦!Intel重新命名自家製程代號、未來技術規劃

文.圖/Lucky 2021-07-27 12:11:46
由於製程發展不順(咳...),造成Intel在處理器的布局安排上必須透過不斷「改良」的方式來推出新產品,如大家常戲稱的14nm+++…、10nm+++…等,這也使得自家一直以來引以為傲的處理器領導地位遭到隔壁對手的不斷推陳出新而動(下)搖(滑),而新上任CEO的動作也是頻頻,Pat Gelsinger在上任之後,屢屢放話要來著手強化自家產品的競爭力,在台灣時間27號的清晨,Intel舉行了新的技術發表會,除了宣示為了技術發展路線之外,還順便替自家的製程技術「改名」,要大家以後別再叫它的產品是「**nm+++…」。


Intel在這次技術發表會上,將過去製程命名使用的「+++」、「SuperFin」等詞綴去掉,取而代之是換上了全新的Intel 7、4、3、20A的命名方式,官方雖然沒有刻意提及理由,但不難想像當對手的製程都邁入5nm、3nm的時候、自己卻還叫10nm、7nm,光是從數字的表示上就顯得矮人一截,而這種落後的感覺是多麼尷尬的一件事啊!加上自從半導體進入14nm製程之後,數字早已不是指電晶體之間距離,而是一種技術突破節點的稱呼,這也就是為什麼先前有媒體指出三星的3nm的電晶體密度還不如Intel的7nm,畢竟各家廠商對於技術節點突破的認知是不同的(所以是三星灌水太嚴重,還是Intel對自己太嚴格呢XD)。

Intel將未來的製程命名改為Intel 7、4、3、20A,取消掉過去的+++和SuperFin的命名規則。


回歸正題,新的Intel 7製程所對應的其實就是現存的10nm+++…,為了方便記憶,其實可以想像為這個製程的表現不輸對手「7nm」,至於是否真的那麼厲害,我們其實只要等到年底就知道了,因為會用上Intel 7製程的產品就是第12代桌上型處理器Alder Lake和伺服器處理器Sapphire Rapids,據官方表示,新的產品在每瓦效能上將會有10~15%的增長,並且在功耗上有著更好的控制(望向11代Core i這頭吃電怪獸…)。

Intel 7其實就是過去的10nm+++,能比上一代產品提升約10~15%的效能。

年底的12代處理器Alder Lake和Saphhire Rapids將會率先使用Intel 7製程。


而下一世代的Intel 4方面,官方雖然沒有指出它所對應的實際製程,但從製作上將使用EUV極紫外光刻機來看,應該就是那個遲到已久的7nm製程,它的電晶體密度將會是Intel 7的兩倍,它所代表的消費級和伺服器及產品正式確定為Meteor Lake處理器和Granite Rapids,同時Intel也表示Intel 5的試驗生產已經在2021年的Q2開始,因此如果一切順利的話,2023年就有機會和大家見面。(好吧、再等2年囉各位XDDD)

Intel 4可能就是遲到已久7nm製程,並會用在Metero Lake和Granite Rapids兩款處理器上。

Intel 4將會利用EUV進行晶片製造。


此外,Intel的Ponte Vecchio伺服器級顯示晶片也將用上Intel 4製程,同時它也也將會是第一款使用自家第二代的Foveros 3D堆疊封裝技術和EMIB多晶片串聯技術產品。

Intel 4還會用在Xe-HPC伺服器顯示晶片Ponte Vecchio上,並搭配第二代Foveros 3D封裝和EMIB多晶片串接技術。


接著Intel 3製程的部分,這部分可能因為尚處研究階段,Intel對此的著墨較少,所以無法得知它會是對應改良版的7nm或是下一代的5nm製程,但從預計性能將提升的18%的角度來看,前者的機率或許會比較高一些。

Intel 3算是Intel 4的改良版,效能將會提升約18%,但無法確定是對應他廠7nm+還是5nm製程。


再接下來的Intel 20A則是一個全新的製程的紀元,其對應的製程約是2nm,Intel表示其命名象徵來到這這個製程節點後,nm這個量級的探索即將結束,是時候前往下一個單位「Angstrom(科學記號Å,中文稱作「埃」)」,也就是10^-10m。

Intel 20A將代表半導體的探索來到新的維度。


在這個維度之下,Intel將會使用全新的RibbonFET電晶體架構,它其實就是GAA(Gate-all-around)全環式電晶體,與現有的FinFET鰭式電晶體採用水平排列電閘的方式不同,RibbonFET將會採用垂直排列的形式,使得單位面積下的處理器能夠容納更多的運算單元,相關實際產品預計會在2024年推出。(不知道會不會到時候又跳票...)

Intel 20A的晶片將採用新的RibbonFET和PowerVia的設計架構。

RibbonFET和PowerVia在顯微鏡下的外觀。


此外,為了解決垂直設計造成供電路徑和訊號路徑混雜在一起,造成彼此互相干擾而增加漏電、功耗的問題,Intel還加入了名為PowerVia的技術,將訊號和電力兩種路經分開為上下兩層,再透過比現今還要小500倍的NANO TSV來將兩層戶相連接起來,這麼做的好處不僅能夠降低電力和訊號的干擾,還能讓訊號層有更多空間來放入更多電路,進一步提升運算效能。


▲RibbonFET和PowerVia的官方影片介紹。

另一方面,在Intel 20A製程下,Foveros 3D堆疊技術也迎來了兩個全新變種,分別為:Foveros Omni和Foveros Direct。Foveros Omni能夠將晶片的堆疊模組化,讓不同廠商生產、製程、等級的晶片進行混合封裝,讓晶片的製造變得更為靈活彈性。

Foveros Direct則是用來輔助Foveros Omni技術,透過在兩個晶片之間使用微型銅柱直接接合,大大降低晶片之間的電阻與距離,將間距縮短到10微米內,還能提升傳輸通道的密度,讓過去無法實現的多功能的晶片整合得以實現,目前這兩項技術預計要到2023年才會進入生產階段。

Foveros Omni和Foveros Direct的微觀樣貌。


▲EMIB混合晶片的設計介紹。

在發表會的最後,Intel透漏Intel 20A之後還會有Intel 18A,不過這部分早期開發將要到2025年才會執行,所以目前尚未確定將能夠為處理器的設計帶來什麼樣的變化,而且前提是Intel真的能夠準時完成上述的各項計畫研發,畢竟屢屢的承諾跳票至今仍歷歷在目,倘若到時候Intel 7接的不是Intel 5而是Intel 7+++,那可就真的是改名也挽救不了的尷尬囉!

Intel 20A之後還有Intel 18A,不過預計要到2025年才會進行開發。


寫在最後的感想,I與A兩陣營的對決由來已久,互不相讓的競爭之下,也讓玩家們更快速的感受與體驗到新技術、新製程帶來的許多好處,譬如更快的CPU、更多的核心與更高的時脈,無一不增加了使用上的速度與更豐富多元的操作,原先AMD頻頻追趕Intel腳步下的PR效能指標在後續也變成了Intel的慣用招數,更不用說在面對核心數無法比擬甚至超越AMD之後、Intel所謂的核心數不是重點不代表一切的說法,真實體驗感受很主觀也無法量化,如果連製程技術都要用數字來模糊,而不敢真正的直球對決,那充其量也不過是為了無法企及對手的高度而提出的粉飾性作法而已,使用者難道真的不知道嗎?


★快來追蹤/加入我們!!!
FB玩家社團:PCDIY!玩家FB社團
Instagram頻道:pcdiytw


發表您的看法

請勿張貼任何涉及冒名、人身攻擊、情緒謾罵、或內容涉及非法的言論。

請勿張貼任何帶有商業或宣傳、廣告用途的垃圾內容及連結。

請勿侵犯個人隱私權,將他人資料公開張貼在留言版內。

請勿重複留言(包括跨版重複留言)或發表與各文章主題無關的文章。

請勿張貼涉及未經證實或明顯傷害個人名譽或企業形象聲譽的文章。

您在留言版發表的內容需自負言論之法律責任,所有言論不代表PCDIY!雜誌立場,違反上述規定之留言,PCDIY!雜誌有權逕行刪除您的留言。